Tecnología CAD

De Wikipedia, la enciclopedia libre
Archivo:TCAD-overview.JPG
Jerarquía de la construcción de herramientas CAD de tecnología desde el nivel de proceso hasta los circuitos. Los íconos del lado izquierdo muestran problemas típicos de fabricación; los iconos del lado derecho reflejan los resultados de escalado de MOS basados en TCAD[1]

La tecnología de diseño asistido por computadora ( tecnología CAD o TCAD ) es una rama derivada de la disciplina de la automatización del diseño electrónico, área del conocimiento encargada de modelar la fabricación de semiconductores y el operamiento de los dispositivos semiconductores . El modelado de la fabricación se denomina como Proceso TCAD, mientras que el modelado del operamiento del dispositivo se denomina como Dispositivo TCAD. Esto incluye el modelado de los pasos del proceso (la difusión y la implantación de iones ) y el modelado del comportamiento de los dispositivos eléctricos basados en la teoría de la física fundamental,[2]​ por ejemplo, los perfiles de dopaje de los dispositivos. Los Dispositvos TCAD también pueden incluir el diseño de modelos compactos (como los bien conocidos modelos de transistores SPICE ), los cuales intentan retener el comportamiento eléctrico de los dispositivos, pero que no son derivados de la física subyacente. El simulador SPICE por sí solo se suele considerar como parte de ECAD, en lugar de considerarse como TCAD.

Introducción[editar]

Los archivos referentes a tecnología y reglas de diseño constituyen elementos que son esenciales para el proceso de diseño de circuitos integrados. La precisión y solidez frente a la tecnología de procesos, la variabilidad y las condiciones de funcionamiento del circuito integrado (interacciones y pruebas ambientales y parasitarias, contemplando las condiciones adversas como descargas electrostáticas) son de suma importancia para determinar el rendimiento, la productividad y la fiabilidad. El desarrollo de este tipo de archivos de reglas de tecnología y diseño implica un proceso iterativo que cruza los límites del desarrollo tecnológico y de dispositivos, así como el diseño de productos e incluso la garantía de calidad. El proceso de modelado y de simulación representan en conjunto una función fundamental para el apoyo de muchos aspectos de dicho proceso evolutivo.

Los objetivos de TCAD inician con la descripción física de los dispositivos de circuitos integrados, considerando la configuración física y las propiedades de los dispositivos que están relacionados, de esta manera, se pueden crear vínculos entre la diversa gama de modelos físicos y de comportamiento eléctrico que ayudan a respaldar el diseño de circuitos. El modelado de dispositivos basado en la física, en formas distribuidas y agrupadas, es una parte esencial del desarrollo del proceso de CI. Dicho proceso tiene como objetivo cuantificar la comprensión que subyace de la tecnología y abstraer el conocimiento obtenido al nivel de diseño del dispositivo, incluyendo también la extracción de los parámetros clave[3]​ que respaldan el diseño de circuitos y la metrología estadística.

Aunque el énfasis está en los transistores semiconductores de óxido de metal (MOS, por sus siglas en inglés), los cuales son el pilar de la industria de circuitos integrados, resulta conveniente repasar brevemente el historial de desarrollo de las herramientas de modelado y la metodología que ha preparado el escenario para el estado actual del arte.

Historia[editar]

La evolución de la tecnología de diseño asistido por computadora (TCAD, por sus siglas en inglés), la combinación sinérgica de herramientas de modelado en conjunto con la simulación de procesos, dispositivos y circuitos, tiene sus fundamentos en la tecnología bipolar, que inició a finales de la década de 1960, periodo que supuso desafíos en las uniones aisladas, dobles y triples. Estos dispositivos y la tecnología empleada fueron la base de los primeros circuitos integrados; no obstante, gran parte de los problemas de escala y las repercusiones físicas que preceden son parte integral del diseño de circuitos integrados, problema presente incluso después de cuatro décadas de desarrollo de circuitos integrados. Tras la llegada de las primeras generaciones de circuitos integrados, se presentaron ciertas dificultades, tales como la variabilidad del proceso y el rendimiento paramétrico, cuestiones que resurgirán como un factor de control en el futuro desarrollo tecnológico de circuitos integrados.

Los problemas relacionados al control de procesos, ya sea para los dispositivos intrínsecos o para todos los parásitos asociados, presentaron desafíos formidables y exigieron el desarrollo de una gama de modelos físicos más avanzados para la simulación de procesos y dispositivos. A partir de finales de la década de 1960 y hasta la década de 1970, los enfoques de modelado explotados fueron mayoritariamente simuladores de una y dos dimensiones. Si bien es cierto que TCAD en las primeras generaciones demostró la capacidad de abordar gran parte de los desafíos exitentes, estos son aquellos relacionados con la física de la tecnología bipolar, la escalabilidad superior y el consumo de energía de la tecnología de los semiconductores de óxido de metal (MOS), que revolucionaron la industria de circuitos integrados. A mediados de la década de 1980, CMOS se logró posicionar como el líder dominante para la electrónica integrada. No obstante, estos primeros desarrollos de TCAD[4][5]​ sentaron las bases para su crecimiento y amplio despliegue como un conjunto de herramientas esenciales que han conseguido aprovechar el desarrollo tecnológico mediante las eras VLSI y ULSI, corrientes principales en la actualidad.

La tecnología de los semiconductores de óxido de metal (MOS) ha sido la predominante en el desarrollo de circuitos integrados por más de un cuarto de siglo. En las décadas de 1970 y 1980, NMOS se vio favorecida debido a las ventajas de velocidad y alcance, aunado a las limitaciones tecnológicas y las preocupaciones relacionadas con el aislamiento, los efectos de los parásitos y la complejidad del proceso. Durante dicha era de LSI dominada por NMOS y el nacimiento de VLSI, las leyes de escala fundamentales de la tecnología MOS fueron codificadas y aplicadas de manera amplia.[6]​ Asimismo, durante este período en el que TCAD alcanzó avances notables en términos de poder realizar un modelado de procesos robustos (principalmente unidimensional) que luego se convirtió en una herramienta de diseño de tecnología integral que fue utilizada universalmente en toda la industria de la electrónica.[7]​ De manera simultánea, la simulación de dispositivos, principalmente bidimensional debido a la naturaleza de los dispositivos MOS, se convirtió en la base para los tecnólogos en el diseño y escalado de dispositivos.[8]​ La transición del uso de la tecnología NMOS a CMOS se generó por la necesidad de desarrollar simuladores completamente 2D estrechamente acoplados para poder construir simulaciones de procesos y dispositivos. Esta tercera generación de herramientas TCAD tomó gran importancia para abordar cualquier complejidad presente de la tecnología CMOS de dos pozos (consulte la Figura 3a), incluidos los problemas de las reglas de diseño y los efectos de los parásitos, como el bloqueo .[9][10]​ Se proporciona una visión abreviada pero prospectiva del período tratado, hasta mediados de la década de 1980[11]​ y desde el punto de vista de cómo se utilizaron las herramientas TCAD en el proceso de diseño de circuitos integrados.[12]

TCAD moderno[editar]

Actualmente, los requisitos y el uso de TCAD atraviesan un panorama muy extenso de problemas de automatización del diseño, muchos de ellos incluyen límites físicos fundamentales. De forma fundamental, todavía existen una gran cantidad de desafíos para el modelado de dispositivos y procesos que respaldan el escalado intrínseco de dispositivos y la extracción de parásitos. Sus aplicaciones abarcan el desarrollo de reglas de tecnología y diseño, la extracción de modelos compactos y, en general, el diseño para la fabricación (DFM).[13]​ La tendencia predominante de las interconexiones para la integración a escala de giga (recuentos de transistores en O (billones)), así como frecuencias de reloj en O (10 gigahercios)) ha demandado el desarrollo de herramientas y metodologías que comprenden la creación de patrones mediante simulaciones electromagnéticas, tanto para patrones ópticos como para el modelado de rendimiento de interconexión electrónica y óptica, de igual forma, el modelado a nivel de circuito. Esta vasta gama de problemáticas presentes al nivel de los dispositivos e interconexiones, considerando también los vínculos a las tecnologías subyacentes de patrones y procesamiento se observa de manera resumida en la Figura 1 y proporciona un marco conceptual para la discusión que a continuación se presenta.

En la Figura 1 se muestra una jerarquía detallada de los niveles de proceso, dispositivo y circuito propias de las herramientas de simulación. En cada lado de los cuadros donde se indica el nivel de modelado se encuentran íconos que representan esquemáticamente aplicaciones significativas para TCAD. En el lado izquierdo se enfatizan los problemas de diseño para la fabricación (DFM), estos son: el aislamiento de zanjas poco profundas (STI), características adicionales requeridas para el enmascaramiento de cambio de fase (PSM) y desafíos para las interconexiones multinivel que comprenden problemas de procesamiento de procesos deplanarización químico-mecánico (CMP), por último, la necesidad de considerar los efectos electromagnéticos utilizando solucionadores de campos electromagnéticos. Los íconos que se observan del lado derecho muestran la jerarquía más tradicional de los resultados y aplicaciones esperados de TCAD, tales como: simulaciones de procesos completos de los dispositivos intrínsecos, predicciones de escalado de corriente de accionamiento y extracción de archivos de tecnología para el conjunto completo de dispositivos y parásitos.

Por otro lado, en la Figura 2 nuevamente se analiza las capacidades de la herramienta TCAD, no obstante, en esta ocasión se da un enfoque mayor en el contexto de la información de flujo de diseño y cómo esta se relaciona con las capas físicas y el modelado del mundo de la automatización del diseño electrónico (EDA). En esta sección, los niveles de simulación del modelado de procesos y dispositivos son considerados capacidades integrales (dentro de TCAD) que en conjunto proporcionan el llamado "mapeo" desde la información a nivel de máscara hasta las capacidades funcionales necesarias en el nivel EDA, por ejemplo, modelos compactos ("archivos de tecnología") e incluso modelos de comportamiento de alto nivel. De igual forma, se muestra la extracción y verificación de las reglas eléctricas (ERC), las cuales indican que muchos de los detalles que se han integrado hasta la fecha en las formulaciones analíticas, de hecho, también pueden estar vinculados al nivel más profundo de TCAD para respaldar la creciente complejidad de la escala tecnológica.

Flujo de trabajo[editar]

Las herramientas de TCAD se integran generalmente con la aplicación de procesos de diseño de circuitos integrados e incluyen las siguientes herramientas:

Proveedores[editar]

Los principales proveedores actuales de herramientas TCAD incluyen Synopsys, Silvaco, Crosslight Software, Cogenda Software, Global TCAD Solutions[14]​ y Tiberlab.[15]​ La fuente abierta de GSS,[16]​ Archimedes,[17]​ Aeneas,[18]​ NanoTCAD ViDES, DEVSIM,[19]​ y GENIUS tienen algunas de las cualidades que están presentes en los productos comerciales.

Referencias[editar]

  • Manual de automatización de diseño electrónico para circuitos integrados, por Lavagno, Martin y Scheffer,ISBN 0-8493-3096-3 Un estudio del campo de la automatización del diseño electrónico . Este resumen se derivó (con permiso) del Vol. II, Capítulo 25, Modelado de dispositivos: de la física a la extracción de parámetros eléctricos, por Robert W. Dutton, Chang-Hoon Choi y Edwin C. Kan.
  • S. Selberherr, W. Fichtner y HW Potzl, "Minimos: un paquete de programas para facilitar el diseño y análisis de dispositivos MOS", Actas NASECODE I (Análisis numérico de dispositivos semiconductores), págs. 275–79, Boole Press, 1979.
  • Electronic design automation for IC implementation, circuit design, and process technology (en inglés). Luciano Lavagno, Igor L. Markov, Grant Martin, Lou Scheffer (2 edición). Boca Raton. 2016. ISBN 978-1-4822-5461-7. OCLC 948286295. 
  • Lui, Basil; Migliorato, P (1 de abril de 1997). «A new generation-recombination model for device simulation including the Poole-Frenkel effect and phonon-assisted tunnelling». Solid-State Electronics (en inglés) 41 (4): 575-583. ISSN 0038-1101. doi:10.1016/S0038-1101(96)00148-7. 
  • Lui, Basil; Tam, S. W. B.; Migliorato, P. (1998). «A Polysilicon Tft Parameter Extractor». MRS Online Proceedings Library (OPL) (en inglés) 507: 365. ISSN 0272-9172. doi:10.1557/PROC-507-365. 
  • H.J. DeMan and R. Mertens, SITCAP — A simulator of bipolar transistors for computer-aided circuit analysis programs, International Solid-State Circuits Conference (ISSCC), Technical Digest, pp. 104-5, February, 1973
  • R.W. Dutton and D.A. Antoniadis, Process simulation for device design and control, International Solid-State Circuits Conference (ISSCC), Technical Digest, pp. 244-245, February, 1979
  • R.H. Dennard, F.H. Gaensslen, H.N. Yu, V.L. Rodeout, E. Bassous and A.R. LeBlanc, Design of ion-implanted MOSFETs with very small physical dimensions, IEEE Jour. Solid-State Circuits, vol. SC-9, pp.256-268, October, 1974.
  • R.W. Dutton and S.E. Hansen, Process modeling of integrated circuit device technology, Proceeding IEEE, vol. 69, no. 10, pp. 1305-1320, October, 1981.
  • P.E. Cottrell and E.M. Buturla, "Two-dimensional static and transient simulation of mobile carrier transport in a semiconductor," Proceedings NASECODE I (Numerical Analysis of Semiconductor Devices), pp. 31-64, Boole Press, 1979.
  • C.S. Rafferty, M.R. Pinto, and R.W. Dutton, Iterative methods in semiconductor device simulation, IEEE Trans. Elec. Dev., vol. ED-32, no.10, pp.2018-2027, October, 1985.
  • M.R. Pinto and R.W. Dutton, Accurate trigger condition analysis for CMOS latchup, IEEE Electron Device Letters, vol. EDL-6, no. 2, February, 1985.
  • R.W. Dutton, Modeling and simulation for VLSI, International Electron Devices Meeting (IEDM), Technical Digest, pp. 2-7, December, 1986.
  • K.M. Cham, S.-Y. Oh, D. Chin and J.L. Moll, Computer-Aided Design and VLSI Device Development, Kluwer Academic Publishers (KAP), 1986. ISBN 978-0-89838-204-4
  • R.W. Dutton and A.J. Strojwas, Perspectives on technology and technology-driven CAD , IEEE Trans. CAD-ICAS, vol. 19, no. 12, pp. 1544-1560, December, 2000.
  • Global TCAD Solutions
  • tiberCAD multiscale simulation tool
  • GSS:General-purpose Semiconductor Simulator
  • Archimedes
  • Aeneas
  • DEVSIM TCAD Software
  • Enlaces externos[editar]

    • TCAD Central : un directorio de software TCAD comercial y de código abierto